CA168首页 > 自动化信息 > 综合信息 > 信息详情

EUV光刻市场高速增长,复合年增长率21.8%

发布日期:2023-08-07 作者:网络
 EUV光刻市场预计在2023年的94亿美元基础上,到2028年将达到253亿美元,复合年增长率为21.8%。

 

EUV光刻技术解决了传统光刻技术在分辨率方面已经达到物理极限的限制。EUV光的较短波长使得在硅晶圆上创造更小的特征和更紧密的图案成为可能,从而实现具有更高晶体管密度的先进微芯片制造。EUV光刻的关键组件是EUV光源,涉及产生和操控13.5纳米高能光。这是通过使用激光从锡液滴中产生等离子体,从而发射EUV辐射来实现的。然后,EUV光通过一系列精密设计的镜子反射和聚焦,将所需的图案传输到涂有光敏材料(称为光刻胶)的硅晶圆上。

 

EUV光刻相比以前的光刻技术具有几个优势。首先,它允许芯片密度显著增加,实现更强大和复杂的集成电路。其次,通过减少图案传输所需的步骤,简化了制造过程,提高了生产效率。最后,EUV光刻使得对关键尺寸的控制更好,减少了图案的变异性,从而提高了芯片性能和产量。EUV光刻在高性能计算、人工智能和移动设备等各种应用中对先进集成电路的生产起着至关重要的作用。

 

在预测期内,晶圆代工厂将以最高复合年增长率增长。

 

在商业领域,晶圆代工厂是一种专门的制造设施,为半导体公司和集成器件制造商(IDM)提供半导体制造服务。晶圆代工厂主要专注于半导体行业的制造工艺,不参与芯片设计。晶圆代工厂通过为芯片设计(称为知识产权)提供制造服务与fabless公司和IDM合作。提供半导体制造服务,包括EUV光刻,的重要代工厂包括台湾积体电路制造股份有限公司、全球闪存公司、三星代工厂等。晶圆代工厂的增长可以归因于它们在EUV光刻方面的重要投资,亚太地区国家在EUV光刻市场的扩展和发展中起着重要作用。

 

预测期内,EUV掩膜(EUV Mask)市场预计以第二高的复合年增长率增长。

 

EUV掩膜,也称为EUV掩模或EUV光刻掩膜,对于极紫外光刻(EUVL)这种先进光刻技术至关重要。EUV光刻是一种先进技术,用于制造具有更小特征尺寸和增强性能的下一代半导体器件。EUV掩膜在半导体晶圆上集成电路的图案制定中起着重要作用,其中包含了在光刻过程中投影到晶圆上的电路图案。与旧有光刻技术使用的传统光学掩膜不同,EUV掩膜专门设计

 

用于与波长约为13.5纳米的紫外光一起使用。它们由薄基底涂覆多层反射材料制成,这些材料有助于将EUV光反射和聚焦到晶圆上,实现精密的高分辨率图案。EUV掩膜的复杂制造过程涉及先进的制造技术和严格的质量控制措施,以确保电路图案的准确性和可靠性。许多公司涉足EUV掩膜和相关产品的制造,包括Toppan公司、KLA Corporation、ADVANTEST CORPORATION、AGC公司等。

 

亚太地区预计以最高复合年增长率增长。

 

亚太地区在EUV光刻领域具有重要意义。在考察该地区的EUV光刻市场时,中国大陆、日本、台湾和韩国等地区处于前沿。台湾在亚太地区占据最高的市场份额。

[信息搜索] [] [告诉好友] [打印本文] [关闭窗口] [返回顶部]
0条 [查看全部]  网友评论

视觉焦点