CA168首页 > 自动化信息 > 企业信息 > 信息详情

台积电和英特尔,大战一触即发

发布日期:2024-01-02 作者:网络
 

关于英特尔凭借 18A 工艺从台积电手中夺回领先地位的说法有很多。

从表面上看,台积电拥有庞大的生态系统,在工艺技术和代工设计起步方面处于领先地位,但英特尔也不容忽视。英特尔首先提出了高金属栅极、FinFET 和更多创新半导体技术,其中之一是背面供电。BPD 无疑可以使英特尔重新回到半导体制造的最前沿,但我们也需要在适当的背景下看待它。

 

背面供电是指将电力传送到芯片背面而不是正面的设计方法。这种方法在热管理和整体性能方面具有优势。它可以实现更有效的散热,并有助于更好地向芯片组件传输电力。这一切都是为了优化布局和设计,以改进功能和热量分布。

 

 

 

背面供电已在会议上讨论过,但英特尔将是第一家将其付诸实践的公司。我们应当向英特尔致敬,因为他们为实现戈登·摩尔的愿景又迈出了令人难以置信的一步。

 

台积电和三星可能会跟随英特尔落后一两年进入背面供电领域。台积电的优势之一是其密切合作的客户的巨大力量,确保了台积电的成功,这与台积电的封装成功不同。

今天,英特尔和台积电之间的任何比较就像将苹果与菠萝进行比较一样,它们已经是完全不同的两个东西了。

 

目前,英特尔在内部生产 CPU 小芯片,并将支持小芯片和 GPU 外包给台积电 (N5-N3)。希望英特尔能够在内部生产 18A 及以下的所有小芯片。

 

不幸的是,到目前为止,英特尔代工集团还没有大量客户。内部制造小芯片无法与台积电为苹果和高通等巨头制造复杂的 SoC 相比。如果您想将 BPD 竞争分为两部分:内部小芯片和复杂的 SoC,那没问题。但我认为,如果说英特尔的工艺领先于任何人,而只做小芯片,那是不诚实的。

 

现在,如果您想进行小芯片比较,让我们仔细看看英特尔与 AMD 或 Nvidia 的对比,因为他们正在台积电 N3 和 N2 上开发小芯片。英特尔可能真的会赢得这一胜利,我们拭目以待。但对我来说,如果你想要代工工艺领先,你需要能够大批量生产客户芯片。

 

接下来,您必须考虑如果没有客户支持,支撑领先意味着什么。它将是墙上的丝带之一、维基百科上的注释之一,或者像 IBM 那样的新闻稿。这不会是每个人都寻求的数十亿美元的 HVM 收入。英特尔需要登陆一些无晶圆厂半导体巨头才能站在台积电旁边,否则他们将站在三星或IBM旁边。

 

“英特尔曾经是保守派,”TechInsights 副主席丹·哈奇森 (Dan Hutcheson) 说。此前,台积电的冒险精神更加激进,失败的几率也更高。哈奇森解释说,现在情况发生了转变。“试图同时实施两项重大技术变革是一个非常冒险的举动,而在过去,这往往会导致灾难,”他说。

 

他正在谈论具有背面供电 (BPD) 功能的 Intel 20A。我从来不认为台积电是一个冒险家。他们有客户需要服务,他们的企业生存依赖于此(Trusted Foundry)。示例:台积电 (TSMC) 在 20 纳米工艺上分离双图案,在 16 纳米工艺下使用 FinFET。台积电在 HVM 后,将 EUV 层添加到 7nm(7nm+)。我认为,这并不是激进的冒险行为。

 

另一方面,英特尔则为自己提供内部开发的产品,这些产品可能而且已经由于工艺问题而被推迟。英特尔冒着风险在 14 纳米工艺上进行了双图案化和 FinFET,并且做得相当不错。这是以秘密方式完成的,因此我们不知道延误等情况,但这是一个非常具有破坏性的举动。英特尔冒着在没有 EUV 的情况下进行 10/7nm 的风险,结果惨遭失败。对于英特尔来说,不同的是,现在他们在产品(AMD)和工艺(台积电)层面面临着以前没有的竞争压力。

 

我也不同意关于 20A 和 BPD 的说法是一个冒险的举动。英特尔将 20A 引入 HVM,然后添加背面供电。与 18A 相同,您无需进行背面供电。英特尔 18A 作为一种创新工艺,与台积电 N2 和三星 2nm 具有竞争力,甚至在市场上击败了它们。在背面供电方面,英特尔一跃领先,直到台积电和三星在一两年后提供 BPD。

 

就我个人而言,我认为英特尔在这方面确实有机会。如果客户可以在合理的时间内完成他们的 BPD 版本,那么与我之前提到的非台积电业务相比,这可能会成为新的代工收入来源的开始。一两年后我们就会知道,但对我来说,这是我们一直在等待的激动人心的代工竞赛,所以谢谢英特尔,欢迎回来!
 

2nm,竞争激烈
 

来自韩国、台湾和美国的领先芯片制造商之间在先进 2 纳米 (nm) 半导体工艺方面的竞争预计明年将加剧。

 

12月25日行业报告显示,全球代工龙头——台湾台积电(行业第一)、韩国三星电子(第二)以及重新进军代工市场的美国英特尔——都在加速发展先进的2纳米工艺。

 

目前,最先进的量产技术是3纳米工艺,由三星电子和台积电制造。三星于去年6月开始量产3纳米工艺,而台积电则于今年年初开始量产。

然而,据报道,由于对初始良率的担忧以及半导体市场的低迷,3纳米工艺的市场需求并未达到预期,导致客户对这些高成本、先进工艺的需求减少。

除了台积电独家生产苹果电脑用片上系统 M3 芯片和移动应用处理器 A17 之外,全球主要无晶圆厂公司仍然主要使用 4 纳米工艺而不是 3 纳米代工厂。

 

与此同时,台积电的主导地位只增不减。根据市场研究公司TrendForce的数据,台积电在全球晶圆代工市场的份额从2021年第三季度的53.1%增长到2023年同期的57.9%。相比之下,三星代工的市场份额从2021年第三季度的17.1%下降到2023年同期的12.4%。同一时期。

 

尽管如此,英特尔和三星都更专注于先于台积电开发先进工艺,而不是立即扩大订单。他们的策略是抢占下一个市场,而不是与行业领导者进行价格竞争。

尤其是英特尔,正在采取积极举措重新进入代工业务。计划于明年上半年量产20埃(A)2纳米级产品,下半年开发1.8纳米产品18A。在去年 9 月举行的年度开发者活动英特尔创新 2023 上,英特尔还推出了 18A 半导体晶圆的原型。

 

与此相关的是,荷兰半导体设备公司ASML近日在其官方社交媒体上宣布,将向英特尔交付全球首款High NA极紫外(EUV)设备。该设备由 ASML 独家生产,对于在半导体晶圆上创建电路至关重要,对于实现 7 nm 以上的精细电路至关重要。High-NA EUV 预计将成为 2nm 以下工艺的关键工具,实现比现有 EUV 设备更精细的工艺。去年年初,英特尔率先与 ASML 签署了该设备的合同,领先于三星电子和台积电。

 

三星代工厂去年开始量产 3 纳米工艺,目标是明年开始量产改进的第二代 3 纳米工艺,并计划在 2025 年上半年量产 2 纳米工艺。台积电已将 2 纳米量产时间表定于 2025 年下半年。

台积电最近与苹果、英伟达等大客户分享了其2纳米原型机的测试结果。同样,三星也向主要客户展示其2nm原型机,据报道正在采取降价策略。

 

[信息搜索] [] [告诉好友] [打印本文] [关闭窗口] [返回顶部]
0条 [查看全部]  网友评论

视觉焦点